Автор Тема: ЦАП с широким динамическим диапазоном Lynx D78  (Прочитано 133787 раз)

0 Пользователей и 1 Гость просматривают эту тему.

Оффлайн medium

  • Прохожий
  • Сообщений: 2
  • Репутация: 1
  • Дмитрий
  • Поблагодарили: +2
Дмитрий, благодарю за развёрнутый ответ. На самом деле не заметил 10 Ом резисторы, из-за которых вся эта история  :)  В очередной раз убеждаюсь, что в Ваших разработках каждый нюанс под строгим инженерным контролем.
К сожалению, в Санкт-Петербурге пока нет фирменного салона Lynx Audio, где можно было бы послушать, сравнить и оценить высочайший потенциал Ваших изделий. Поэтому об их звуковых возможностях приходится получать представление из схем, технического описания и любезно предоставленных ответов на вопросы в обсуждениях, в частности и на данном интернет-ресурсе.   
Ещё один момент. По сравнению с АК4399 АК4490 показывает в спектре искажений больше гармоник высоких порядков. Как Вы думаете, это результат того, что более низкая шумовая полка АК4490 "обнажила" продукты искажений высоких порядков, либо всё-таки это особенность АК4490 из-за меньших потребляемых токов по аналоговому питанию? Возможно, у АК4497 с этим будет получше...

Оффлайн Lynx

  • Разработчики
  • *****
  • Сообщений: 1627
  • Репутация: 31
  • Дмитрий
  • Поблагодарили: +147
    • Lynx Audio
Фирменного салона Lynx Audio нет, не было и не будет, пока какой-нибудь миллионер не спонсирует на безвозмездной основе его создание и поддержание  :)
Так что в обозримое (и не очень) будущее ситуация никак не изменится и аудио останется для меня всего лишь приятным хобби :)
Что же касаемо видов спектров искажений 4399 и 4490, то, по-видимому, имеют место обе причины, Вами указанные. Хотя, если судить по субъективному звучанию, то, в большей степени, важна первая (искажения малого уровня просто не маскируются шумовыми и помеховыми составляющими) - звучание устройств на 4490 более "плавное" и "бархатное" по сравнению с 4399.
Но при этом нужно понимать, что кроме самих микросхем ЦАП (очень высокого уровня качества) существенное влияние окажет и реализация цепей питания, постЦАПовых цепей, топология платы, и оно может оказаться (и, зачастую оказывается) куда существеннее, чем само по себе абсолютное качество ЦАП-чипа.

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Добрый день, Дмитрий!
Спасибо за очередную интересную конструкцию!
С вашего позволения пара вопросов.
1. Рсскажите, каким образом у вас реализовано SPI управление режимами АК4490 с помощью ПЛИС. Это набор логических элементов для формирования нужных сигналов (схема), или программирование на Verilog или VHDL?
Собственно начал щупать эту тему недавно, и на обычной логике попытка создать SPI на базе EPM240T100C5N окончилась неудачно - свободных ячеек не хватило бы, а документации по созданию SPI интерфейса на ПЛИС я не нашел - кругом же контроллеры с аппаратным SPI, и никто не хочет заморачиваться...
2. Хотелось бы узнать ваше мнение про топовую АК4497, помимо крутых заявленных параметров, у нее еще и довольно высокий потребляемый ток по аналоговой шине, и металлическая подложка снизу. Предполагаю, что это некая "улучшенная" версия АК4399.

Звучание АК4490 мне тоже показалось более "бархатным" в сравнении с АК4399, вот и думаю, стоит ли ждать АК4497?

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
1Б) По сути одно и то же, HDL (Hardware Description Language) - язык описания цифровой аппаратуры, представление той же логики, что и обычная цифровая схема, только в синтезируемых конструкциях, описанных конкретным языком (например Verilog HDL, VHDL, Catapult C, System C).
1А) Зарегистрируйтесь на сайте opencores.org - там довольно большая подборка ядер, которые сделаны энтузиастами, правда не все из представленных ядер полностью правильно работают или же не оптимально сделаны, приходится доделывать, но материал для размышления есть.
У меня управление ak4490 укладывалось менее чем в 200 ячеек, и можно еще оптимизировать.


Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Спасибо, Илья!
Будет пища для размышлений.
Просто эта тема для меня новая, поэтому приходится изучать буквально с нуля.

Оффлайн Lynx

  • Разработчики
  • *****
  • Сообщений: 1627
  • Репутация: 31
  • Дмитрий
  • Поблагодарили: +147
    • Lynx Audio
Рсскажите, каким образом у вас реализовано SPI управление режимами АК4490 с помощью ПЛИС. Это набор логических элементов для формирования нужных сигналов (схема), или программирование на Verilog или VHDL?
Все проекты для ПЛИС я писал и пишу на VHDL\AHDL. По сути, и графическое описание, и языковое - всего лишь различные типы формализации проекта структуры внутренних соединений и функций элементов. Объём проекта зависит реально на 90% от принятого уровня реализации протокола SPI, если его реализовать полностью согласно стандарта со всеми функциями, то это занимает в алтеровских CPLD (после оптимизации) 140...180 макроячеек. Но это совершенно не нужно при наличии только односторонней передачи данных и не использовании функций контроля и подтверждения. Так что реально это должно занимть ячеек 30...40. Оптимизируйте свой проект сначала на бумаге, исключите ненужные функции и затем приступайте к созданию проекта ПЛИС. разницы в объемк при создании графического и программного проектов, в общем-то быть не должно (при условии исходной хорошей схемотехнической проработки)
Предполагаю, что это некая "улучшенная" версия АК4399.
Улучшенная версия AK4399 - это АК4495. При этом реально 4495 сильно проигрывает 4490-й.
AK4497 - это несколько модифицированная 4490. Они даже по звучанию (на демоплатах) ОЧЕНЬ похожи.
Ждать что-то или не ждать - это вопрос сугубо индивидуальный. Можно ждать супер-пупер-сверх-ультра-гипер- ЦАПа всю жизнь, а можно слушать музыку и получать удовольствие.
Хочу в очередной раз заметить, что реализация потенциальных свойств микросхем ЦАП уровня 4490 и подобных, как минимум на 70% зависит от условий их применения , в том числе от топологии плат, качества пассивных и активных элементов, схемотехнической реализации и так далее.

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Хочу в очередной раз заметить, что реализация потенциальных свойств микросхем ЦАП уровня 4490 и подобных, как минимум на 70% зависит от условий их применения , в том числе от топологии плат, качества пассивных и активных элементов, схемотехнической реализации и так далее.
Тогда получается, что некий оптимальный базис в схемотехнике (питание, фильтры), топологии и элементной базе выводит решение на некоторый высокий уровень, и повышение объективного качества происходит очень незначительно, от одного цап к другому...




и добавил...
 :off:
или программирование на Verilog или VHDL
На моей кафедре за термин программирование по отношению к ПЛИС одного студента отправили перезащищать курсовую работу на осень...

Оффлайн Lynx

  • Разработчики
  • *****
  • Сообщений: 1627
  • Репутация: 31
  • Дмитрий
  • Поблагодарили: +147
    • Lynx Audio
Именно так и есть - о чем я постоянно говорю на протяжении многих лет. И подтверждение тому - множество промышленных, полукустаных и авторских устройств с крайне посредственным звучанием, но зато типа "на самонаилучших микросхемах"...

и добавил...
На моей кафедре за термин программирование по отношению к ПЛИС одного студента отправили перезащищать курсовую работу на осень
Обычное самодурство и/или личная неприязнь к студенту. Вообще в самом названии CPLD (Complex PROGRAMMABLE Logic Device) и FPGA (Field PROGRAMMABLE Gate Array) присутствует термин "программируемый", а ПРОГРАММАТОР широко используется для записи проектов в микросхемы.

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Спасибо, Дмитрий!
Многое прояснилось!
Буду читать и пробовать дальше.

По сути, и графическое описание, и языковое - всего лишь различные типы формализации проекта структуры внутренних соединений и функций элементов.
То, что мне не давало покоя.

С другой стороны дилемма лично у меня такая: иметь 4 линии управления (SPI + PDN) для АК4490 от контроллера, который будет отсылать посылку управления разово при переключении режимов, а остальное время спать, или имерь те же 4 ++ линий под различные сигналы управления для ПЛИС, которая уже будет менять режим ЦАП. Но в любом случае полезный опыт не помешает!


Хочу в очередной раз заметить, что реализация потенциальных свойств микросхем ЦАП уровня 4490 и подобных, как минимум на 70% зависит от условий их применения , в том числе от топологии плат, качества пассивных и активных элементов, схемотехнической реализации и так далее.
Ну так слежу за вашими работами именно по этой причине.
Вот сразу вопрос. Насколько сильнее/заметнее/хуже, если при трассировке проводника для того же MCLK сигнала при его изгибе используется не кривая, а излом двумя углами например? Я понимаю что угол хуже, но интересен порядок чисел, если можно :)



Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Насколько сильнее/заметнее/хуже, если при трассировке проводника для того же MCLK сигнала при его изгибе используется не кривая, а излом двумя углами например?
ANSYS или Microwave Office в помощь.


и добавил...
[/quote]
По сути, и графическое описание, и языковое - всего лишь различные типы формализации проекта структуры внутренних соединений и функций элементов.
Для полноты картины можно добавить, что небольшие и средние по размерам проекты инженер может воспринимать в виде цифровой схемы, однако исторически к началу 80-х годов проекты стали настолько большими, что традиционное описание (цифровые схемы в привычном виде) не позволяло охватывать в удобном для восприятия виде крупные проекты, и стали разрабатывать языки описания цифровых схем.
« Последнее редактирование: 07 Июля 2016, 11:34:19 от ilya_blazer »

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
ANSYS или Microwave Office в помощь
Серьезные вещи! С точки зрения новичка какой из них проще? Так, пощупать для начала...



и стали разрабатывать языки описания цифровых схем.
У меня исторически как раз наоборот складывается :) . Поэтому впринципе запихать обслуживающую простую логику в ПЛИС удалось без проблем, а вот "увидеть" SPI на языке программирования сложнее. Хотя сам язык, да, прост с виду.

 :off:
Есть у меня есть коллега - программист. Сошлись, так сказать, на общих интересах. Так вот у него ток в проводе течет только в одну сторону, зато он видит логику по тексту программы. А я наоборот элементарных вещей иногда не замечаю в коде... ;-[
И вообще, не в этой теме наверное...


Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Серьезные вещи! С точки зрения новичка какой из них проще? Так, пощупать для начала...
Скажу так - из всех высказывающихся в этой переписке только одному человеку ( и то возможно, но это мое предположение, хотя не лишенное почвы) приходится учитывать уровень излучения от скругленной или повернутой 2 раза под 45 градусов (от себя добавлю, что последняя рекомендация есть для трассировки DDR памяти или же PCI Express дифференциальных пар, в том числе для уменьшения отражения от изгибов). Для всех остальных, как факт, это все не более чем рекомендации, что именно так лучше так делать, без конкретных цифр.
И этот софт явно не для начала, если что...


и добавил...
Еще можно Cadence Sigrity, он МОЖЕТ возьмет разницу..

и добавил...
Еще момент - на этом уровне конструирования не заморачивайте себе голову этим вопросом.
Есть другие вещи, которые вносят бОльший вклад в качество работы модуля.
P.S. Это относится ко многим, в том числе автору этого сообщения.


и добавил...
Так, пощупать для начала...
Для начала лучше  всего разобраться с SPI, почитать в каком из четырех режимов работает ЦАП, и реализовать в ПЛИС.
Остальные задачи для Вас представляют сейчас лишь умозрительный интерес исключительно ради любопытства...


и добавил...
 :off:
Немного офтоп по этой теме, но значимо с точки зрения разработки:
Используйте принцип Парето (или правило 20/80 - 20 процентов работы дают 80 процентов результатов).
То есть в Вашей текущей задаче эти 80 процентов (сконфигурированная микросхема цап) в сочетании с хорошей схемотехникой (без комментариев, все очевидно) плюс топология и трассировка (если внимательно посмотреть, то тоже можно подчерпнуть информацию) то эти вещи дадут 80 процентов результата.

А те ньюансы , о которых Вы говорили, или же токи заряда входных емкостей цифровых входов цап, или наводки на линии опорного напряжения - они будут в сумме давать незначительный вклад, по сравнению с предыдущим пунктом, дадут гораздо меньший вклад. А времени на то, чтобы разобраться с обозначенными в этом абзаце вопросами, Вы потратите гораздо больше, чем на предыдущий абзац.


и добавил...
Вот сразу вопрос. Насколько сильнее/заметнее/хуже, если при трассировке проводника для того же MCLK сигнала при его изгибе используется не кривая, а излом двумя углами например?
Сразу ответ. Она (линия MCLK) находится в экране, образованным полигоном земли на втором слое и полигоном на нижем слое (сама линия находится на третьем слое). Все это можно понять из фотографий платы.
Так что рассмотрением вклада этой линии в общий результат можно в первой / второй / пятой свой конструкции пренебречь, по сравнению с шумом от той же лини I2S.

и добавил...
И снова обсуждение ушло в тематику проектирования ЦАП  с ШДД...
« Последнее редактирование: 07 Июля 2016, 13:15:45 от ilya_blazer »

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Еще раз спасибо, Илья!  :v:
Для себя я расставил точки, потому как реально не был готов к тому, что увидел по предложенному вами софту.
Это пока мне не просто не осилить, да и нет смысла заниматься освоением.

Просто сейчас по наличию времени занимаюсь разводкой и компоновкой плат под АК4490, а тут как раз увидел очередную разработку Дмитрия.
Платы будут заказные, поэтому цена ошибки будет высокой, учитывая малый объем заказа.
А как представлю, сколько автор потратил времени и сил на это устройство - вообще поражаюсь!

Для начала лучше  всего разобраться с SPI, почитать в каком из четырех режимов работает ЦАП, и реализовать в ПЛИС.
Со SPI я разобрался, но на базе контроллера, а вот реализация его в ПЛИС интересна с практической точки зрения - опять же исключительно для самообразования.

Так что рассмотрением вклада этой линии в общий результат можно в первой / второй / пятой свой конструкции пренебречь, по сравнению с шумом от той же лини I2S.
Ну этот сигнал я взял в качестве примера, как самый высокочастотный.

 :off:
Попался в руки SDR свисток на RTL2832U. Софт позволяет просматривать участки диапазона в графическом режиме, как анализатор спектра. Так вот как фонит все вокруг, это надо видеть!  Специально вертел антенной возле включенного и выключенного ЦАП (он на столе лежит без корпуса), пытаясь оценить уровень излучения основных частот , передаваемых по I2S и их гармоник - бесполезно. Уровень фона, помех от зарядников, лампочек и прочего электронного хлама, просто перебивает все вокруг. То есть даже в моей кривой реализации как развести шину MCLK - не существенно.
Так что не удивлюсь, если кто-нибудь, купив у автора оригинальную конструкцию, но не корректно подключив землю на выход, или еще что-то сделав не так с аналоговой частью, получит посредственный результат в звучании.

И снова обсуждение ушло в тематику проектирования ЦАП  с ШДД...
Ну а где же еще это обсуждать, как не в теме про ЦАП с ШДД?  ;D

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Ну а где же еще это обсуждать, как не в теме про ЦАП с ШДД? 

Неточно выразился - соседний раздел   
"К вопросу о проектировании печатных плат для устройств с ШДД"
http://www.diyaudio.ru/forum/index.php?topic=5321.0


и добавил...
А вообще сделать управление для ak4490 на ПЛИС не так сложно.
С конца - SPI, работающий только в режиме передачи, далее цифровой автомат (state - machine), которая записывает сигналы управления в соответствующие регистры, и управляет последовательностью передачи регистров с конфигурационными данными в модуль spi (чтобы следующие регистр записывался в модуль spi только после передачи всех данных),
далее детектор изменения состояния сигнала ERS (насколько знаю, в модулях charlestone после установления конфигурации происходит сигнал сброс), ну и в самом начале - считывание состояний с информационных интерфейсов.
« Последнее редактирование: 08 Июля 2016, 09:43:10 от ilya_blazer »

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
А вообще сделать управление для ak4490 на ПЛИС не так сложно.
Логика работы как раз понятна.
Реализация в железе вызывает ряд вопросов, просто по отсутствию достаточных знаний.

 :off:
Я тут недавно, после двух десятков лет перерыва, вспомнил про программирование, и освоил немного программирование на платформе Arduino. До этого по работе только FreeBSD/Linux администрирование. 8)

Так вот по Arduino.
Сначала ATMega, теперь STM32.
Там прекрасно работает функция spi_transfer.
Сейчас в железе работает связка АК4137 + АК4490. STM32 c цветным дисплеем 320*240. Все возможные режимы: переключение sample rate, DSD/PCM - PCM/DSD, источников I2S, 5 режимов ЦФ. Уже даже в корпусе.
Но это контроллер - у него свои достоинства и недостатки. И самое главное, при работе с Arduino есть масса примеров, которые можно "подпилить" под себя.
Для CPLD Altera, да и других подобных ПЛИС этого нет, и даже глянуть особо негде.
Нашел один пример SPI в разработке, но там MASTER/SLAVE, и не завершен...
Даже даташит, IMHO, могли бы написать более понятно. :)
Напоминает позицию разработчиков платформы ARM. Мы вам дали, а дальше сами разбирайтесь.

Просто, видя изящество работ Дмитрия, хотелось бы попробовать (просто попробовать) получить что-то похожее по управлению.

Я пошел с начала. Регистр/преобразователь параллельного кода в последовательный и далее все нужные тайминги по сигналу Chip Select (Slave select).
Но размер проекта в "железе" уже превысил все допустимые мной объемы для EPM240 (80% на формирование одной SPI команды), хотя на логическом анализаторе все вроде в норме. 

Поэтому, естественно предположил, что проект разрабатывался не в виде проекта на простой логике, а использовался язык высокого уровня, который позволил уменьшить размер проекта до приемлемых значений, оставив место под простую логику( переключение источников, генераторов, служебные сигналы).
Но, по словам Дмитрия, размер проекта не сильно отличается, если его создавать как принципиальную схему, или как код на VHDL\AHDL.

Поэтому делаю вывод - я просто делал немного не так, как надо. :)

Так что есть, к чему стремиться...

Спасибо, Дмитрий, Вы не даете мне расслабиться!  :v:
« Последнее редактирование: 09 Июля 2016, 19:01:20 от AlexD »

Оффлайн Pag232

  • Прохожий
  • Сообщений: 18
  • Репутация: 0
  • Александр Санкт-Петербург
  • Поблагодарили: 0
Дмитрий, на схеме 2шт пина №12 у микросхеме ЦАП. Описка?

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Александр, есть несколько методов проектирования устройств.
Один из них - сначала делается плата, а потом по готовой плате делается принципиальная электрическая схема.
Поскольку изделие уже есть (печатная плата, собственно которая и идет в производство), то сама схема уже играет второстепенную роль.
Поэтому при таком подходе ошибки, опечатки и неточности в самой схеме, не играют роли, для конечного изделия.
Отмечу, что не все САПР поддерживают такой подход.

Оффлайн Pag232

  • Прохожий
  • Сообщений: 18
  • Репутация: 0
  • Александр Санкт-Петербург
  • Поблагодарили: 0
Да я вообщем-то не в претензии.
Просто осваивать altium решил начать с ЦАПа на AK4490 вот и при изучении данной схемы и бросилось в глаза

Оффлайн Lynx

  • Разработчики
  • *****
  • Сообщений: 1627
  • Репутация: 31
  • Дмитрий
  • Поблагодарили: +147
    • Lynx Audio
Дмитрий, на схеме 2шт пина №12 у микросхеме ЦАП. Описка?
Не знаю. Там могли быть все выводы ,быть под №12  :) :) :)
Я же их назначаю самым последним этапом после того, как всё уже сделано и когда пишется только проект ПЛИС
По большому счёту, это не имеет никакого значения. Сама схема - всего лишь "для справки".
Я еще со времён первых PCad, которым требовались текстовые описания связей, привык проектировать устройства "от платы". Так что схема - это уже самый последний, чисто "декоративный" штрих к портрету.
По большому счёту, что принципиальная схема, что проект платы - это лишь разные виды формализации физических свойств и параметров ОДНОГО И ТОГО же устройства. На сегодняшний день принципиальная схема является анахронизмом, совершенно не требующимся для проектирования устройства.


Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #49 : 05 Августа 2016, 12:20:35 »
0
Дмитрий, а с чем связан отказ от поддержки частот Fs 768 (генераторы 36,864 МГц и  33,8688 МГц) в этом изделии?
В описании отмечено использование генераторов с частотами 22,5792/24,576 МГц.


и добавил...
Просто, видя изящество работ Дмитрия, хотелось бы попробовать (просто попробовать) получить что-то похожее по управлению.
При определенном (достаточно большом) опыте (определяется индивидуально, в зависимости от многих условий), практически любая конструкция будет изящной :)
Это применимо и к электронике, и к механической части, и к программированию.
хотелось бы попробовать (просто попробовать) получить что-то похожее по управлению.
Зачем? Просто потому что этот путь уже пройден, и реализован в готовом устройстве?
Вариантов решения задачи достаточно много, в общем случае бесконечное количество ;)
Нужно лишь подобрать наиболее оптимальный для себя, с учетом имеющихся знаний, навыков, ресурсов (включая время).
 



и добавил...
разницы в объемк при создании графического и программного проектов, в общем-то быть не должно (при условии исходной хорошей схемотехнической проработки)
Поэтому, естественно предположил, что проект разрабатывался не в виде проекта на простой логике, а использовался язык высокого уровня, который позволил уменьшить размер проекта до приемлемых значений, оставив место под простую логику( переключение источников, генераторов, служебные сигналы).
Но, по словам Дмитрия, размер проекта не сильно отличается, если его создавать как принципиальную схему, или как код на VHDL\AHDL.

В общем виде это зависит от синтезатора HDL кода/схемотехнического редактора, но это если вдаваться в тонкости работы процесса создания битовой конфигурационной последовательности для ПЛИС.
Задача сама по себе (даже не задача, а вспомогательный инструмент для решения задач, возникающих при проектировании конфигурации ПЛИС) явно не тривиальная, и разбираться с ней для создания простейшего автомата явно не следует. Если есть интерес, существуют другие тематические ресурсы, в которых можно получить ответ и на эту группу вопросов.
Для применения плис в аудио все гораздо проще :yes:
Разбираться с Synopsys Synplify для того чтобы сконфигурировать меньше десятка регистров цап ну явно не стоит, даже в пылу азарта разобраться с ПЛИС.
« Последнее редактирование: 05 Августа 2016, 12:56:00 от ilya_blazer »

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #50 : 03 Сентября 2016, 17:44:21 »
0
Спасибо, Илья! В принципе направление дальнейшего движения было задано заранее, просто, скажем так, нужно было убедиться, что я не иду тупиковым путем. Пусть ПЛИС занимается данными для ЦАП, а контроллер рулит настройками ЦАП по SPI. :v:

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #51 : 03 Сентября 2016, 17:54:40 »
0
что я не иду тупиковым путем.
Бывают проекты для результата, а бывают для опыта. ;)
От ПЛИС тут требуется немного - привести в соответствие порядок сигналов с информационного разъема, сконфигурировать регистры цап, ну еще можно задать минимальный выходной ток на выходах ПЛИС. Зачем это нужно - в соответствующую литературу :yes:
При желании можно обойтись одним контроллером, потому что, повторюсь, в первых проектах будут (факт, ничего личного) и другие слабые места, которые будут вносить свой вклад, бОльший, чем различная скорость (бОльшая и мЕньшая для разных настроек тока выводов) нарастания цифровых сигналов на входах ПЛИС.

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #52 : 05 Сентября 2016, 08:30:19 »
0
Дмитрий, может вы знаете ответ на один вопрос?
Что за функция в АК4490 Sound Quality Control?
Есть три варианта,  а у АК4497 уже пять.
Причем в даташитах ничего про то, что это и как работает - не сказано...
При попытке программировать этот регистр, ни на слух, ни на приборе изменений не замечено. Гонял меандр различных частот.
И если настройка ЦФ четко видна, то здесь как будто не меняется ничего.

Задавали вопрос производителю, но получили ответ от отдела маркетинга с просьбой указать требуемое количество микросхем и для каких целей планируется их использовать, и только после этого обещали передать наш вопрос инженерам.
Но так как ни производство ни массовая закупка не планировалась - вопрос остался без ответа.

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #53 : 05 Сентября 2016, 10:37:41 »
0
https://hifiduino.wordpress.com/2014/12/07/akm-verita-4490-dac/
Есть упоминание об этой функции.

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #54 : 05 Сентября 2016, 11:01:37 »
0
Они сам не уверены, что написали :) При том, что фильтров пять вариантов, а Sound Control всего три. Удивляет что в даташите об этом ни слова.

Оффлайн Lynx

  • Разработчики
  • *****
  • Сообщений: 1627
  • Репутация: 31
  • Дмитрий
  • Поблагодарили: +147
    • Lynx Audio
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #55 : 05 Сентября 2016, 11:12:07 »
0
Дмитрий, может вы знаете ответ на один вопрос?
Что за функция в АК4490 Sound Quality Control?
Да, знаю. Это управление параметрами внутренних ОУ аналоговых выходов (ток потребления, полоса, скорость нарастания и т.п., иными словами - установка значения тока управления программируемого ОУ). Более того, в каких-то партиях 4490 эта функция работает, в каких-то нет. В тех 4497, с которыми я экспериментировал - работает. Замечу, что влияние этой настройки весьма незначительно и наилучшие параметры ОУ устанавливаются по умолчанию.

Оффлайн AlexD

  • Хороший знакомый
  • **
  • Сообщений: 101
  • Репутация: 0
  • Алексей.
  • Поблагодарили: 0
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #56 : 05 Сентября 2016, 11:24:22 »
0
Спасибо огромное! Вот теперь все понятно!

Оффлайн RainfallSky

  • Знакомый
  • *
  • Сообщений: 34
  • Репутация: 2
  • Владимир
  • Поблагодарили: +2
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #57 : 05 Октября 2016, 17:36:09 »
0
Дмитрий, добрый вечер.

Подскажите пожалуйста если в лоб сравнить два ИОН, REF03 и ADR441BRZ не будет ли ADR441BRZ более предпочтительна?
Шум у ADR441B меньше, точность лучше, температурная стабильность так же как у REF03.
Даже не знаю на какие параметры еще стоит обратить внимание но с виду ADR441B выглядит как самый интересный ИОН.

Оффлайн ilya_blazer

  • Наш человек
  • ****
  • Сообщений: 633
  • Репутация: 5
  • Илья
  • Поблагодарили: +46
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #58 : 05 Октября 2016, 17:38:58 »
0
RainfallSky, если перечитать описания последних ЦАП Дмитрия, то в них есть рекомендации по применению различной элементной базы.
ИОН не исключение.
Для установки в ЦАП подойдут оба, разумеется.
ADR4525 - еще более интересный вариант, насколько помню.


и добавил...
Вот сразу вопрос. Насколько сильнее/заметнее/хуже, если при трассировке проводника для того же MCLK сигнала при его изгибе используется не кривая, а излом двумя углами например? Я понимаю что угол хуже, но интересен порядок чисел, если можно
Алексей, ответ по старому вопросу - книга Конструирование высокоскоростных цифровых устройств. Начальный курс черной магии. Авторы Джонсон и Грэхем.  4.4.4 Прямоугольные изгибы печатных дорожек
« Последнее редактирование: 05 Октября 2016, 17:53:00 от ilya_blazer »

Оффлайн RainfallSky

  • Знакомый
  • *
  • Сообщений: 34
  • Репутация: 2
  • Владимир
  • Поблагодарили: +2
Re: ЦАП с широким динамическим диапазоном Lynx D78
« Ответ #59 : 06 Октября 2016, 09:43:29 »
0
ilya_blazer, Доброе утро.

Вы меня немного обескуражили.. Ссылаясь на мой пост, к чему Вы написали про рекомендации в описании ЦАПов Дмитрия и утверждения, что обсуждаемые ИОН конечно же подойдут? Там даже наводящих вопросов не было на такой ответ.  :) Более того оба обсуждаемых ИОН как раз из списка рекомендованных Дмитрием в последних описаниях ЦАП. )
Вопрос только какой будет предпочтительней из этих двух так как с виду по известным мне ключевым параметрам ADR441B практически во всем лучше, но REF03 уже много лет из описаний к описаниям ЦАП на первых строчках среди рекомендуемых. Полагаю могут быть подводные камни которые я упускаю из виду. Как бы не было смешно, данный вопрос не дает мне покоя уже длительное время, только сейчас набрался смелости спросить..  :)

 

Похожие темы

  Тема / Автор Ответов Последний ответ
194 Ответов
162923 Просмотров
Последний ответ 04 Июня 2018, 15:04:06
от Segun
49 Ответов
41950 Просмотров
Последний ответ 18 Октября 2016, 20:35:18
от Lynx
226 Ответов
176235 Просмотров
Последний ответ 05 Мая 2018, 20:56:00
от sergey367
55 Ответов
62296 Просмотров
Последний ответ 26 Февраля 2016, 20:05:50
от bogi
42 Ответов
49989 Просмотров
Последний ответ 02 Августа 2017, 08:16:22
от yurgen