Автор Тема: Проект 63  (Прочитано 20547 раз)

0 Пользователей и 1 Гость просматривают эту тему.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Проект 63
« : 07 Декабря 2014, 13:50:03 »
0
Пока есть в доступной продаже 27 лампочка, хочу ее купить. Тольк не знаю как ее применить. Так же есть желание задействовать давно прикупленные псмки, есть опыт скрещивать цап с лампой. Смотрим:
Проект за основу взят от сюдова (тему не нашел). Суть, человек купил из китая кит псм63, где ЦАП нагрузили на токовую нагрузку в виде полевого транзистора J_fet, и весь выхлоп на полевиках.

- По вахам лампочки выбрал второй столбец: 135 анодного, смещение 9 вольт, при этом ток течет 4.5мА. Внутреннее сопротивление 9 ком.
- ЦАП по пдф - импенданс 600 ом, ток 2мА. Но питание аналога +-12 вольт, получается еть где разгуляться.

(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)


Что посоветуете?

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #1 : 08 Декабря 2014, 16:31:07 »
0
Алексей (энергетик) дал файлы гербер  сверловки платы болеро. Суть: хочу на своей плате ЦАП установить разъемы так, чтоб болеро устанавливать по верх платы ЦАП без шлейфов. Для этого он дал гербер файлы сверловки, чтоб вытянуть координаты контактов, крепежных отверстий.
Скачал какую то программу GC_prevue_22.4.6 А че по чем, не понимаю ??? Может вам удастся перенести контакты в лайку.

(Извините, но у Вас нет доступа в Галерею)

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #2 : 15 Декабря 2014, 12:57:28 »
0
Интернет просел под торгашей. Еще с год назад было легко найти информацию о схемотехнике для плис: как разделить I2S_моде поток для псм63. Хоть доклад, хоть курсовая работа, информация была на каждом углу.
Нынче одни торгаши.

и добавил...
Я знаю что даже на нашем форуме не однократно выкладывали схему как на логических элементах этот преобразователь воплотить.
Правда я не знаю как эту штуку правильно обозвать, по сути я не знаю что ищу.

и добавил...
Нашел, кто бы мог подумать, что логику найду в лампах  :) Там же хотели ЦАП сделать на лампах, так что ни чего удивительного  ;D

Цитировать (выделенное)
lgedmitry ...
(Извините, но у Вас нет доступа в Галерею)
Цитировать (выделенное)
Серёг....в двух словах опиши внедрённые задумки....чем отличается от ранее опубликованных? (понималка пока слабая по цапам)

das,Сергей, в общем так:
а) взял схему что ты мне давал
б) заменил плиску обычными микрухами (ибо с плисками не дружу) Вышло 4 штуки 74AHC164 (можно, пожалуй, и 74HC164) и пара инверторов. Взял свободные от быстродействующего преобразователя уровней с высокой нагрузочной способностью 74LVT240.
в) заменил переключатель входов на простом тумблере переключателем на логических элементах (ну не люблю я полезные сигналы таскать к тумблеру и обратно. имхо вредно это) применил тут 74ALS00. Тут выбор обусловлен тем, что эта серия умеет адекватно воспринимать логические сигналы с микрух, запитанных от 3.3В, когда сама питается от 5В.
нужно это в последнем элементике, который усиливает ток DIR9001 для подачи на светодиод. Если это дело на транзисторе выполнить, то можно и 74HC00 влепить преспокойненько.
г) частоту тактового генератора реклока задрал в 2 раза по сравнению со статьёй-прообразом (где-то вычитал, что так кошернее). При наличии отсутствия кварцевых генераторов на 45.1584МГц (изображён на схеме в виде прямоугольничка без роду-племени и номеров выводов) можно вернуться к прообразу и поставить на 22.5792МГц. При этом, если он окажется ещё и на 3.3В, то отпадёт необходимость в применении 74LVT240. На вдвое низкой частоте и триггер реклока можно поставить 74ALS574, а не 74LVT574.
Вообще же, можно поставить генератор на любую частоту до 50МГц. SRC4192 справится. Вродь нигде не нашёл, что от некратного ресемплинга она сильно звук портит (хотя может плохо искал)
д) свободные элементы 74LVT240 я пустил на буферы. Это на случай, если каждую полусхему собирать на отдельной плате и провода длинные между ними тянуть. но этого скорей всего не будет, и сигналы можно брать напрямую
е) переврал с включением AD1865. Чайку попью - исправлю))))


Теперь осталось найти оригинал той схемы на логигке. Со сдвиговыми регистрами все понятно, а вот что делает 74_00... все запутано.

и добавил...
Вобщем хочу сдлать так:
С болеро сигнал поступает на плис. Плис решает: если частоты 44.1-48кгц, то передает поток на цифровой фильтр SM5842. Если частоты выше (от 88.2 до 192), то сама распределяет поток по микрам ПСМ63
Ну можно еще реализовать матиматическое приведение 24 бит к 20 битам.

и добавил...
НАШЕЛ  :yah: :yah: :yah:
(Извините, но у Вас нет доступа в Галерею)
« Последнее редактирование: 16 Декабря 2014, 02:57:09 от WolfTheGrey »

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #3 : 29 Мая 2015, 15:01:19 »
0
Возобновлю долгоиграющий проект.
Посоветуйте  - как предподчительней расположить микросхемы на плате?!? Проект пока в макете, потому и плата соответствующая.


(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)

писи: Изучаю FPGA, нужен цап 100% конструктор. Хочу написать код ЦФ с интерполяцией и Передискретизацией. Приведение цифрового сигнала согласно даташиту  I2S_mode
микросхемы РСМ63 рассматриваю как вариант. Есть китайские АД1862РСМ63 хочу послушать, уж больно телесно о них отзываются.

Оффлайн Злой

  • Старожил
  • *****
  • Сообщений: 2727
  • Репутация: 12
  • Марат Уфа
  • Поблагодарили: +652
Re: Проект 63
« Ответ #4 : 29 Мая 2015, 21:04:59 »
0
Алексей, а SM5847 религия не позволяет поставить, все сделает, что нужно.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #5 : 29 Мая 2015, 21:30:45 »
0
Ну да, религия. Еще курс доллара и отсутствие денег.

Оффлайн Злой

  • Старожил
  • *****
  • Сообщений: 2727
  • Репутация: 12
  • Марат Уфа
  • Поблагодарили: +652
Re: Проект 63
« Ответ #6 : 29 Мая 2015, 21:43:54 »
0
Эт серьезный довод, особенно если 5842 есть закромах.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #7 : 29 Мая 2015, 22:16:05 »
0
5842 есть, покупалась в месте с псм63 несколько лет назад. У этих ЦФ есть один недостаток - работают с частотами до 48кГц.

и добавил...
Вопрос, стоит ли делать подстройку? Все равно нет ни приборов, ни опыта. Больше шансов что рассогласую ЦАП, или испорчу.



и добавил...
(Извините, но у Вас нет доступа в Галерею)
« Последнее редактирование: 30 Мая 2015, 14:45:48 от WolfTheGrey »

Оффлайн Злой

  • Старожил
  • *****
  • Сообщений: 2727
  • Репутация: 12
  • Марат Уфа
  • Поблагодарили: +652
Re: Проект 63
« Ответ #8 : 30 Мая 2015, 15:49:44 »
0
по три детали на канал, ну не получиться так выкинешь переменники и успокоишься.

Оффлайн Язычник

  • Наш человек
  • ****
  • Сообщений: 361
  • Репутация: 1
  • Игорь
  • Поблагодарили: +17
Re: Проект 63
« Ответ #9 : 30 Мая 2015, 17:24:44 »
0
НАШЕЛ    

Курсовая работа, сдвиговыйе регистры
ссылка не работает (

Оффлайн А.К.

  • Прохожий
  • Сообщений: 3
  • Репутация: 0
  • Поблагодарили: 0
Re: Проект 63
« Ответ #10 : 30 Мая 2015, 22:22:03 »
0
Подстройку пока не делайте.

Не видно на макете очень важного узла - генераторов

На какую ПЛИС ориентируетесь?

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #11 : 30 Мая 2015, 23:24:14 »
0
FPGA ep4ce40
Генераторы у меня простенькие, по 80р покупал. Поэтому закину их в угол по ближе к БОЛЕРО. Земли у меня звездой. ПСМ, цифра, гены - всех сведу у контактов питания платы к конденсаторам.

Эти три детали надо крутить по очереди словно код сейфа подбираешь. Согласовывать 2й бит с дебетом и кредитом  :d_know:  ;D

и добавил...
(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)

Распределение питанием генераторов еще не проверял. По идее должно работать. Когда на болеро логическая 1 - открывается (vt1, vt2). vt3 блокируется. Когда логический 0 - все на оборот.

и добавил...
В последней картинке в виде папки лежит файл с "Курсовая работа, сдвиговыйе регистры"

и добавил...
Пол дня учлся настраивать SM5842, но все же Запустил. Говорят выхлоп ЦАПы можно на резистор нагрузить?! Ни чего не знаете на эту тему? У Дмитрия в Lynx_D44 цапа вобще на трансформатор нагружена.
« Последнее редактирование: 31 Мая 2015, 16:24:52 от WolfTheGrey »

Оффлайн Злой

  • Старожил
  • *****
  • Сообщений: 2727
  • Репутация: 12
  • Марат Уфа
  • Поблагодарили: +652
Re: Проект 63
« Ответ #12 : 31 Мая 2015, 20:08:27 »
0
Поэтому закину их в угол по ближе к БОЛЕРО.
А реклок делать будешь? Вообще генераторы поближе к нему надо.
Эти три детали надо крутить по очереди словно код сейфа подбираешь.
Дело хозяйское, но я бы предусмотрел места, почитай Алексея AlexD тут он редко появляется, больше на Горе, у него в статье есть описание как на коленке подстроить. И когда пробовал делать ЦАП на АД1862 дошел, что обязательно нужна развязка между ЦФ и реклоком 74АБТ574. Сейчас близиться к завершению ЦАП на АД1865. По поводу питания генераторов почитай DAC_Lynx_D60, только если будешь повторять, то повторять в точности. Я сделал без буфера на выходе, вроде как не обязательная деталь, а оказалось огреб по полной проблем.
Говорят выхлоп ЦАПы можно на резистор нагрузить
У ПСМ можно грузить, у них ток вытекающий, вот у АД ток втекающий, или с питания через резистор, или на опере.

Оффлайн xar

  • Старожил
  • *****
  • Сообщений: 5224
  • Репутация: 16
  • Ренат
  • Поблагодарили: +271
Re: Проект 63
« Ответ #13 : 31 Мая 2015, 23:25:50 »
0
WolfTheGrey, что за генераторы? Мне как раз нужно что то дешевое для экспериментов :)

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #14 : 01 Июня 2015, 01:08:27 »
0
Цитировать (выделенное)
И когда пробовал делать ЦАП на АД1862 дошел, что обязательно нужна развязка между ЦФ и реклоком 74АБТ574.
Простите, личным опытом дошел? Или прочитал в трудах чьих то?? Дело в том что сам Дмитрий Анодронников писал - что отказался от развязки между ЦФ и ЦАП. Что в последних трудах использует только буфера. Сам лично слышал как цап АД1853 намного прозрачней стал петь когда убрал причесывалку 74_574. Хотя адешка - это дельтасигма. А тут Р2Р матрица. Кто его знает  :d_know:

Гены вот: http://electronic-component.org     Савин Юра <urasavin@yandex.ru>

Вчера запускал плату, на выхлопе получил странную кракозябу. Интересно еще то, что когда воспроизведение на плеере выключаю, то и кракозяба пропадает. Может это и есть звуковая дорожка, хотя так ее называть рука не подымается. По этому и спрашивл чем цапу нагружать, если сделать i/u на опере кракозяба превратится в звук?

(Извините, но у Вас нет доступа в Галерею)

и добавил...
Может в этом кроется загадка? Я ведь на ЦФ подаю I2S(Philips) поток, А в даташите вон чего написано:

Цитировать (выделенное)
n Input data format
• 2s complement, MSB first
- LR alternating, 16/18/20/24-bit serial, trailing
data
- LR alternating, 24-bit serial, leading data
- LR simultaneous, 24-bit serial, leading data


Далее по графикам в даташите узнал свой I2S(Philips) режим: указывается что надо пины выставлять:  INF1N HIGH, INF2N = LOW Где INF2N (первая нога) - по схеме идет как приемник данных. 
 :facepalm: Запутался  :facepalm:
« Последнее редактирование: 01 Июня 2015, 04:44:04 от WolfTheGrey »

Оффлайн xar

  • Старожил
  • *****
  • Сообщений: 5224
  • Репутация: 16
  • Ренат
  • Поблагодарили: +271
Re: Проект 63
« Ответ #15 : 01 Июня 2015, 08:21:44 »
0
Вчера запускал плату, на выхлопе получил странную кракозябу.
типичная крякозябра когда вместо знакового числа подают беззнаковое, или наоборот.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #16 : 01 Июня 2015, 13:49:26 »
0
А где можно об этом почитать? Про знаки. Единственное чего добился, когда громкость уменьшаю то кракозяба растягивается.

Оффлайн xar

  • Старожил
  • *****
  • Сообщений: 5224
  • Репутация: 16
  • Ренат
  • Поблагодарили: +271
Re: Проект 63
« Ответ #17 : 01 Июня 2015, 13:55:16 »
0

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #18 : 01 Июня 2015, 15:36:46 »
0
Выкладываю схему платы. Красные перемычки у SM5842 - действующие настройки. Болеро настроен на вывод формата RJ.
Может вы скажете где накосячил  ;D Я уже устал искать ошибки методом перетыка.

(Извините, но у Вас нет доступа в Галерею)

и добавил...
Попросили сфоткать пины:

1- RJ формат с Болеро
2- DATA vis LE приходящие на псм63
3- Clock vis LE приходящие на псм63 (20 бит)

(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)
« Последнее редактирование: 01 Июня 2015, 16:44:52 от WolfTheGrey »

Оффлайн Злой

  • Старожил
  • *****
  • Сообщений: 2727
  • Репутация: 12
  • Марат Уфа
  • Поблагодарили: +652
Re: Проект 63
« Ответ #19 : 01 Июня 2015, 21:02:16 »
0
Простите, личным опытом дошел? Или прочитал в трудах чьих то?? Дело в том что сам Дмитрий Анодронников писал - что отказался от развязки между ЦФ и ЦАП.
У Дмитрия защита от помех организована за счет правильной топологии, 4-х слойной платы и много чего еще скорее всего, о чем он и не пишет. Изначально проект был ТАС1020-ДФ1706-74НС574-АД1862-выхлоп. Был звук, но с ужасным скрежетом. Перепахал все питание, как то писал уже про нехорошие АДП3303 в питании генераторов, стало чуть лучше, пробовал заменить ТАС на Фламенко, без результата, игрался с форматами, убирал реклок и еще много чего. В итоге победил шумы только АДУМкой после ЦФ. В результате нечеловеческих опытов 1862 сдохли :facepalm:, благо были приобретены на Ебае за вразумительные деньги и проект переделался на АД1865. Как то так.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #20 : 02 Июня 2015, 00:35:59 »
0
Интересно, нахваливаемые китайские платы на упомянутых чипах тоже имеют цифровой изолятор?

и добавил...
Добрый день.
Не подскажете, есть ли какой сайт или статья - полностью раскрывающая секреты потока данных.
Как работает передача данных я то знаю, вот именно сама кодировка, где там положительная полуволна, где там отрицательная...
Чтоб глядя на осциллограф мог читать данные, которые шлют в ЦАП,
Чтоб смог там наколдовать код на ПЛИС, и получить синусоиду на выходе цапа.
« Последнее редактирование: 02 Июня 2015, 13:15:39 от WolfTheGrey »

Оффлайн xar

  • Старожил
  • *****
  • Сообщений: 5224
  • Репутация: 16
  • Ренат
  • Поблагодарили: +271
Re: Проект 63
« Ответ #21 : 02 Июня 2015, 13:52:34 »
0
полностью раскрывающая секреты потока данных.
какого потока? обычно в даташитах на цап все есть. даже для вшивенькой cs4334 все описано

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #22 : 02 Июня 2015, 14:25:08 »
0
Вот и я не знаю что у яндекса спрашивать. По крайней мере формат данных сейчас для меня является чёрным ящиком. Выравнивание по правому краю, выравнивание по левому краю....Это все описывается в статье " Курсовая работа, сдвиговыйе регистры". А что там передаётся - чёрный ящик.

Оффлайн xar

  • Старожил
  • *****
  • Сообщений: 5224
  • Репутация: 16
  • Ренат
  • Поблагодарили: +271
Re: Проект 63
« Ответ #23 : 02 Июня 2015, 14:34:20 »
0
WolfTheGrey, как то так. http://bfy.tw/84H

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #24 : 03 Июня 2015, 01:25:19 »
0
Что значит: непрерывный поток данных?

(Извините, но у Вас нет доступа в Галерею)
(Извините, но у Вас нет доступа в Галерею)

Оффлайн Илья_C

  • Знакомый
  • *
  • Сообщений: 73
  • Репутация: 1
  • Илья из Саратова
  • Поблагодарили: +14
Re: Проект 63
« Ответ #25 : 03 Июня 2015, 08:24:14 »
0
Что значит: непрерывный поток данных?
Доброго дня!

Судя по картинке, предполагаю, что это LJ 20. Это, видимо, то, что приходит на PCM63, одного из каналов. После 8-ми кратного интерполирования ЦФ фильтром SM5842 . Так?
А вопрос к чему относится, из какой фразы, в каком контексте?
Может - "непрерывный поток КВАНТОВАННЫХ данных" ?

С уважением, Илья.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #26 : 03 Июня 2015, 16:39:17 »
0
Я просто неоднократно читал во множестве источниках, что некоторые Р2Р ЦАПы отказываются работать, им нужен непрерывный поток данных. А тут BCLK от считал 20 тактов, и замолк на 4 - 6 тактов. Может в этом кроется вся проблема с запуском ЦАП?
Timing Diagram из даташита явно указывает клок от нисходящего фронта LE до следующего нисходящего фронта. Без пауз.

Думаю попробовать поставить ЦФ ДФ1706Е

Оффлайн Илья_C

  • Знакомый
  • *
  • Сообщений: 73
  • Репутация: 1
  • Илья из Саратова
  • Поблагодарили: +14
Re: Проект 63
« Ответ #27 : 03 Июня 2015, 17:47:50 »
0
Может в этом кроется вся проблема с запуском ЦАП

На странице 23, из описания SM5842 (.pdf) приведена картинка figure 9. - его связка с PCM63. У многих людей, (и у меня тоже), этот ЦФ и ЦАП работают и тайминг BCKO - именно такой, с разрывом. (Как ив описании).  Видимо дело не в этом, а в передаваемых данных. Формат не тот. Если у Вас есть любой "старый" CD (без DVD и прочего) на микросхемах SONY, то можно взять с них I2S, и подать на ЦФ. Все должно прекрасно играть.
Так можно проверить работоспособность ЦФ и ЦАП отдельно от Болеро.
Успехов!

Оффлайн А.К.

  • Прохожий
  • Сообщений: 3
  • Репутация: 0
  • Поблагодарили: 0
Re: Проект 63
« Ответ #28 : 03 Июня 2015, 22:11:51 »
0
У меня без проблем работает Flamenco с ЦАПом на SM5842-PCM63. У обоих выставлен формат RJ24

Неправильная конфигурация 5842, надо вроде так -

pin 4 - low (на землю)
5 low
10 low
11 low
12 high

3 high
« Последнее редактирование: 03 Июня 2015, 22:35:43 от А.К. »

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #29 : 05 Июня 2015, 06:06:28 »
0
Цитировать (выделенное)
5 low
А вот этой строчки не хватало. Поёт  :) Вроде и хороше поёт, и бас сочен и напористый.
Вот DEMPR (15-16 нога) demphasis control signal - нужно офнуть. Без него звук напористей и разборчивей.
В i/u ставил разные оперы: ОПА627 и ЛМЕ49710 - отлетели сразу. На выход завелись один в 3 вольта, другой в 5 вольт на мегогерцовых частотах. Остался ОР42, чью работу и оцениваю.

Небольшое сравнение с АД1853 (кофейный столик) - АДешка выигрывает пока на пару голов. Артикуляция чётче, звук мягче.

Оффлайн WolfTheGrey

  • Старожил
  • *****
  • Сообщений: 2151
  • Репутация: 6
  • Алексей
  • Поблагодарили: +98
Re: Проект 63
« Ответ #30 : 13 Июня 2015, 01:16:32 »
0
К сожалению код из статьи книги не прокатил.  На ЦАП шла полная 64 битовая белеберда.
Написал свою прошивку. По образу и подобию - максимально стремился приблизиться к картинкам от ЦФ sm5842. Поет  ;D Правда с хипами и хрюками, но поет  :yah:
На джитер пока не оптимизровал, единственное могу сказать - Нельзя так просто взять и оторвать от хвоста даты 4 бита! Это сильно влияет на громкость (увеличило раз в 10). Может потому и хрипит.
А как тогда превести 24 бит даные в 20 бит?

module DigitalFilter
(
input wire LR, BCLK, MCLK, DATA,
output reg LR_out, BCLK_out, DATA_R, DATA_L
);

reg[0:31] buffer_data_L, buffer_data_R;
reg[0:6] buffer_data_count;

reg[0:23] data_out_L, data_out_R;

always @(posedge BCLK) // по восходящему фронту записываем 1:0 в буфер данных
begin
if(LR)
begin
buffer_data_L[buffer_data_count] = DATA;
end
if(!LR)
begin
buffer_data_R[buffer_data_count] = DATA;
end
buffer_data_count = (buffer_data_count == 32)? 1 : buffer_data_count + 1;
end

always @(posedge LR) // по восходящему фронту L/R сбрасываем данные буфера приемника в буфер отправителя.
begin
data_out_L = buffer_data_L[8:31];
data_out_R = buffer_data_R[8:31];
end


//Вычисление тактовых сигналов ЦФ, и отправка данных в ЦАП. Формат: LR=44.1, BCLK=24*LR
reg BCLK_buf = 1'b1;
reg[0:4] MCLK_tic; //16
always @(posedge MCLK) // преобразуем частоту BCLK_out (mclk/16 = bclk)
begin

if(MCLK_tic == 7)
begin
MCLK_tic = 0;
BCLK_buf = ~BCLK_buf;
BCLK_out = ~BCLK_out;
end
else
MCLK_tic = MCLK_tic + 1;
end

reg[0:5] BCLK_tic; //24
always @(negedge BCLK_buf) // синтезируем LR_out
begin
BCLK_tic = (BCLK_tic == 23) ? 0 : BCLK_tic + 1;

if(BCLK_tic <= 12)
LR_out = 0;

else
LR_out = 1;


//if((BCLK_tic == 0)||(BCLK_tic >= 21)) // по идее 1 бит и более 21 бит BCLK_out = 0; но почему то все время BCLK_out держит в нуле.
//BCLK_out = 0;
//else   // отправка данных в ЦАП
begin

//BCLK_out = BCLK_buf;
DATA_R = data_out_R[BCLK_tic - 1];
DATA_L = data_out_L[BCLK_tic - 1];

end
end

endmodule

и добавил...
плис пока стоит ЕРМ570, код занял 170 вентилей.

и добавил...
Проинвертировал клок BCLK_out, хрипы ушли.
Не плохо поет, помоему по лучше чем с ЦФ на sm5842, покрайней мере НЧ не выпячивает, звук более натурален. Хотя смогу сравнить работу ЦФ на плис и sm5842 когда буду переключать на плис и sm5842
« Последнее редактирование: 13 Июня 2015, 02:24:27 от WolfTheGrey »

 

Похожие темы

  Тема / Автор Ответов Последний ответ
130 Ответов
102266 Просмотров
Последний ответ 08 Июля 2014, 01:09:06
от Гocть
38 Ответов
34434 Просмотров
Последний ответ 02 Сентября 2015, 14:27:23
от LiDer
296 Ответов
190439 Просмотров
Последний ответ 15 Декабря 2016, 23:58:30
от cu6apum
1243 Ответов
498666 Просмотров
Последний ответ 30 Марта 2023, 08:57:15
от Карта
434 Ответов
216838 Просмотров
Последний ответ 08 Октября 2020, 14:13:48
от Карта